半导体网站(半导体设备行业深度报告)

(报告出品方/作者:中信证券,徐涛、张若海、王子源)中国大陆晶圆厂进展:行业扩产持续,2022H1 已披露完成设备招标 478 台2021 年全球半导体设备市场规模首破千亿美元,中国大陆占约 29%达到全球第一。 根据 SEMI 报告,2021 年全球半导体制造设备销售额激增,同比增加 44%达到 1026 亿美 元的历史新高,SEMI 预计到 2022 年将扩大到 1140 亿美元。近年来,在芯片短缺及本身 半导体产业加速发展的推动下,中国大陆正在加速扩大产能。据 SEMI 统计,2021 年国内 12 英寸晶圆厂总产能约为 115 万片/月,SEMI 预计接下来几年产能将会持续扩大,2022 年 12 英寸晶圆厂重点项目年新增产能超 20 万片/月,2023 年中芯国际、华虹集团、长江 存储、长鑫存储等重点项目可能会带来更多新增产能。2021 年中国大陆半导体设备市场 销售额增长 58%,达到 296 亿美元,占全球市场约 28.9%,再次成为半导体设备的最大 市场,这也是中国市场连续第四年增长。国内主要下游晶圆厂扩产进展更新:我们预计 2022~2023 年中芯国际、合肥长鑫、 华虹集团、长江存储均为扩产主力。按照计划来看,国内近些年较快扩产的主要本土厂商 包括长江存储、中芯国际、合肥长鑫、华虹集团(包括华虹无锡、华虹宏力、华力微、华 力集成等);各厂主要扩产计划梳理如下:1) 长江存储:3D NAND Flash 存储器国内龙头 IDM,三期总产能规划 30 万片/月。 长江存储一期项目于 2018 年投产,2019 年产能达到 2 万片/月,2020 年扩产至 约 5 万片/月,我们预计一期结束 2022 年有望达到 10 万片/月产能,二期土建已 于 2020 年 6 月开工,加上未来三期项目合计产能规划共 30 万片/月。2) 中芯国际:中国大陆晶圆代工龙头,深圳、北京京城、上海东方项目依次扩产, 已披露产能规划未来有望新增约 40 万片/月。我们预计 2022 年下半年深圳 12 英 寸(满产将达 4 万片/月,现洁净室已就绪)、北京中芯京城项目有望从零起步产 能爬坡,上海临港(中芯东方)厂房于 2022 年初已经动工,有望于 2023 年上半 年建成。2023 年京城厂产能有望持续爬坡(一期满产将达 10 万片/月,总计两期 20 万片/月)、临港厂房建成并有望进入产能爬坡(满产 10 万片/月)。此外 8 寸方 面,天津、深圳、上海厂均有继续扩产空间;在 12 英寸先进制程(14nm)方面, 若后续设备获取顺利,上海中芯南方厂区还有继续扩产可能,现有一期洁净室支 持 3.5 万片/月(现产能 1.5 万片/月),预留空地支持二期新增 3.5 万片/月产能。3) 华虹无锡:12 英寸成熟制程头部厂商,2022 年持续扩产,新项目有望上马,有 望扩张 10 万片以上月产能。我们预计华虹无锡一期(Fab 7)产能从 2022 年 6.5 万片/月扩张至约 9.5 万片/月(12 英寸),2022 年底有望开始新建二期(Fab 9), 公司预计产能规模将超过一期(Fab 7)。我们预计 2023 年二期土建并开始采购 设备。4) 华力集成:12 英寸先进制程头部厂商,后续有望新建 Fab 8。我们预计产能逐步 扩张至满产 4 万片/月。根据华虹集团 2021 全球供应商年会新闻稿,华力于 2021 年启动建设 Fab 8,新增约 4 万片/月先进制程产能。5) 长鑫存储:DRAM 存储器国内龙头 IDM,三期总产能规划 37.5 万片/月。我们预 计产能将从 2021 年初的 4 万片/月扩张至 2022~2023 年 12.5 万片/月,同时 2022~2023 年有望启动二期建设(12.5 万片/月)。长鑫北京项目厂房已建成,亦 有望进入扩产阶段。部分晶圆厂完成招标情况进展:从 2022 年 1~6 月国内部分晶圆厂完成招标情况来看, 华虹无锡/上海积塔分别完成 197/201 台工艺设备招标,贡献了统计范围内 83%的招标数 量,福建晋华/时代电气分别完成 25/24 台工艺设备招标,上述四家厂商贡献了统计范围内 90%的招标数量。长江存储在 2022 年 1~6 月暂未披露招标情况。1~6 月,统计范围内晶 圆厂分别完成招标 36/83/63/138/90/68 台工艺设备招标,月度之间分布较为均匀。设备厂商中标更新:各厂商中标结果陆续释出,国产替代有望加快国内在半导体设备各细分领域涌现出一批优秀公司。由于半导体设备种类繁多,制造 原理各异,在各细分领域中已形成具备一定规模和替代技术实力的国产细分龙头厂商,但 与海外厂商相比,国内企业技术实力与收入体量相差仍大。国内厂商中,包括北方华创、 中微公司、盛美上海等厂商已进行横向平台化布局,包括屹唐股份、拓荆科技、华海清科、 芯源微、至纯科技、精测电子、中科飞测、烁科中科信等公司在国内细分领域领先。设备厂商中标情况更新:根据我们统计,北方华创、中微公司、盛美上海、拓荆科技、 华海清科、芯源微等公司 2022 年 1~6 月分别中标 62/15/15/12/10/27 台工艺设备,数量 分别达到 2021 年全年的 58%/79%/65%/44%/56%/123%,各月份之间分布不均。由于招 标统计范围仅覆盖部分晶圆厂,部分大客户如中芯国际、长鑫存储等并未披露招标情况, 中标结果仅反映设备公司部分订单。设备国别比较:美日设备占比最高,国产占比呈显著上升趋势从行业格局来看,美日欧厂商在半导体设备领域具备传统优势,占据半导体设备全球 前 15 名席位。国际主流厂商中,应用材料、泛林、东京电子在薄膜沉积、刻蚀领域具备 领先地位,科天在过程控制(检测、量测)设备处于领导地位,均稳居全球前五位置。据 我们测算,2021 年中国大陆厂商营收在全球市场占比约 2.5%。我们选取了三座典型晶圆厂长江存储、华力集成、华虹无锡的招标数据,对比设备的 国产化比例和海外占比。 长江存储:各中标供应商按照总部地区划分,美日占比最高,国产化比率持续提升至 22%。从近五年长江存储招投标项目累计数量来看,美国、日本厂商中标项目数量占比分 别达 43.4%、29.5%,反映出两地区厂商仍占据主流地位。五年累计招标中,中国大陆厂 商中标项目数量占比 15.7%,分年度看,2021 年长江存储 415 项设备招标中,中国大陆 厂商中标项目数量占比 22.2%,过去几年呈现逐渐上升趋势,相应美国厂商中标项目数量 占比呈现下降趋势。华力集成:美日占比最高,中国大陆 2022 年占比两成。从近六年华力集成招投标项 目累计数量来看,美国、日本厂商中标项目数量占比分别达 41.0%、21.8%,反映出两地 区厂商仍占据主流地位。六年累计招标中,中国大陆厂商设备中标项目数量占比 19.3%, 分年度看,2020 年华力集成 164 项设备招标中,中国大陆厂商中标项目数量占比 27.9%; 2021 年华力集成 38 项设备招标中,中国大陆厂商中标项目数量占比 23.7%;2022 年(截 至 7 月 4 日)华力集成 5 项设备招标中,中国大陆厂商中标项目数量占比 20%,稳定在两 成左右。华虹无锡:美日占比最高,国产化占比达 23%。从近四年华虹无锡招投标项目累计数 量来看,美国、日本厂商中标项目数量占比分别达 34.5%、27.1%,反映出两地区厂商仍 占据主流地位。中国大陆厂商设备中标项目数量占比 20.5%,分年度看, 2020 年华力集 成 166 项设备招标中,中国大陆厂商中标项目数量占比 23.5%;2021 年华力集成 160 项设备招标中,中国大陆厂商中标项目数量占比 21.3%;2022 年(截至 7 月 4 日)华力集 成 128 项设备招标中,中国大陆厂商中标项目数量占比 22.7%,近两年国产厂商占比呈现 上升趋势。从具体厂商排名来看,部分国内厂商设备获采购数量已居于前列。 长江存储:中标供应商中,北方华创、屹唐股份、中微公司、盛美上海位列国产供应 商前列。美国厂商(泛林、应用材料、科天、Onto、泰瑞达等)、日本厂商(东京电子、 国际电气、迪恩士、爱德万等)仍是采购主流。国内厂商方面,公开招标数据显示, 2017~2022 年,北方华创在长江存储共中标 56 次、143 台设备,屹唐股份同期在长江存 储共中标 48 次、102 台设备,中微公司同期在长江存储共中标 37、59 台设备,盛美上海 同期在长江存储共中标 29 次、35 台设备。华力集成:中标供应商中,盛美上海、北方华创等位列国产供应商前列。国内厂商方 面,公开招标数据显示,2016~2022 年盛美上海在华力集成共中标 17 次、21 台设备,北 方华创同期在华力集成共中标 11 次、22 台设备,屹唐股份同期在华力集成共中标 10 次、 12 台设备,上海天隽机电设备有限公司同期在华力集成共中标 9 次、42 台设备(均为研 磨液供应设备),杭州广立微电子设备有限公司同期在华力集成共中标 8 次、14 台设备(均 为 EDA 软件或晶圆电性测试仪),中微公司同期在华力集成共中标 7 次、15 台设备。华虹无锡:中标供应商中,盛美上海、北方华创、中微公司等位列国产供应商前列。 国内厂商方面,公开招标数据显示,2018~2022 年盛美上海在华虹无锡共中标 27 次、33 台设备,北方华创同期在华虹无锡共中标 18 次、25 台设备,中微公司同期在华虹无锡共 中标 11 次、14 台设备,华海清科同期在华虹无锡共中标 11 次、13 台设备,屹唐股份同 期在华虹无锡共中标 11 次、25 台设备,拓荆科技同期在华虹无锡共中标 7 次、7 台设备。设备类型比较:薄膜沉积、过程控制、离子注入、涂胶显影等尚有较大替代空间从晶圆厂内各工艺环节来看,薄膜沉积、光刻、刻蚀设备是产线中总价值量最高的三 类半导体设备,均占全球半导体设备市场的 20%以上。晶圆厂内半导体设备按照类型可大 致分为薄膜沉积、光刻、刻蚀、过程控制、自动化制造和控制、清洗、涂布显影、去胶、 化学机械研磨(CMP)、快速热处理/氧化扩散、离子注入、其他晶圆级设备等类别,其中 薄膜沉积、光刻、刻蚀、过程控制占比最大。以下我们分设备类型,统计各类细分设备中国际和国内厂商中标情况。1、刻蚀:国产化率 22%,中微公司、北方华创、屹唐股份三强崛起长江存储:国产刻蚀设备主要采购自中微公司、北方华创、屹唐股份。在长江存储 2017~2022 年刻蚀设备招标中,中微公司设备中标数量位列第三,累计 58 台,仅次于泛 林,高于东京电子、应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞争 力。北方华创、屹唐股份仅次于应用材料,分别录得 26 台、18 台。从刻蚀细分类型来看,中微公司主要中标设备包括通孔刻蚀、接触孔刻蚀、介质(氧 化硅等)刻蚀、沟槽刻蚀等,其中 2020 年首次中标沟槽刻蚀;北方华创主要中标设备包 括硅槽刻蚀、铝刻蚀等;屹唐股份主要中标设备为介质(氮化硅、氮氧化硅等)刻蚀、钝 化层刻蚀等。华力集成:中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。过 去五年华力集成招标期间,中微公司共中标 15 台,北方华创中标 1 台。其中中微公司中 标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北方华 创中标设备为多晶硅 STI 刻蚀。华虹无锡:中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。中微公司共中 标 14 台,北方华创中标 6 台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、介 质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。总结:刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三,其中中微公司 工艺覆盖范围相对较广,其主力出货类型为 CCP(电容耦合等离子刻蚀),面向介质刻蚀 较多,近期 ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北方 华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀为主; 屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标情况统计, 国产设备中标总数 137 台,晶圆厂招标设备总数 630 台,由此计算国产化率约 21.7%(按 照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆盖率等方面还 存在进一步提升空间。(报告来源:未来智库)2、薄膜沉积:国产化率 5.7%,拓荆科技、北方华创、盛美上海为国产前 三强长江存储:薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应用 材料等。国产厂商中,拓荆科技、北方华创分别中标 19 台、15 台,其中拓荆科技中标设 备主要为 PECVD(等离子增强化学气相沉积),北方华创中标设备主要为 PVD(物理气 相沉积)。华力集成:应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海,分别中标 5/4/1 台。其中拓荆科技中标设备为 PECVD,北方华创中标设备为溅射设备,盛美上海中 标设备为铜电镀设备。华虹无锡:主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯梦, 分别中标 8/5/1 台。其中,北方华创中标设备为 PVD,拓荆科技中标设备为 PECVD,江 苏芯梦中标设备为化学镀设备。总结:薄膜沉积设备方面,拓荆科技、北方华创、盛美上海分列中标数量国内前三, 但三家厂商设备类型有明显差异,其中拓荆科技主要为 PECVD(等离子增强化学气相沉 积),北方华创主要为 PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应细分设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。中微公司等目前也 在布局薄膜沉积设备领域。从三座晶圆厂累计招标情况统计,国产设备中标总数 58 台, 晶圆厂招标设备总数 1024 台,由此计算国产化率约 5.7%。与海外厂商相比,国产厂商在 薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较大发展空间。3、过程控制:国产化率 3.6%,中科飞测、精测半导体、睿励科学仪器国 内领先长江存储:过程控制设备主要采购美、日设备,包括 Onto(由 Nanometrics 和 Rudolph Technologies 合并)、科天、日立高新、应用材料、赛默飞等。国产厂商中, 中科飞测、上海精测半导体、睿励科学仪器分别中标 7 台、6 台、2 台,其中中科飞测中 标设备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸 量测仪,睿励科学仪器中标设备为介质薄膜测量系统。华力集成:Nova Measuring、科天中标最多,国产仅睿励科学仪器中标。其中 Nova Measuring 为以色列量测设备公司,共计中标 45 台,中标产品包括化学机械研磨厚度在 线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X 射线光电子能谱分析量测设备等。 睿励科学仪器于 2019 年 11 月中标的 1 台设备为后段膜厚测量仪设备(BEOL)。华虹无锡:主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡卓海。 其中,吉姆西半导体科技 8 台中标设备为膜厚测量仪,无锡卓海 1 台中标设备为套刻精度 检测机。从两家公司官网我们了解到,吉姆西半导体科技主要业务为半导体再制造设备和 研磨液供应系统,再制造设备品牌涵盖应用材料、泛林、日新、东京电子、Nanometrics、 Mattson 等;无锡卓海科技专注半导体前道检测与量测设备领域的研发、制造、修理、技 术服务,再制造设备品牌涵盖科天、日立高新、Ruldoph、Quantox、尼康等。总结:过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领先 企业,其中中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半导体、 睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国产设备中 标总数 25 台,晶圆厂招标设备总数 703 台,由此计算国产化率约 3.6%,国产厂商设备仅 覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚待开拓。4、氧化扩散/热处理设备:国产化率 28%,北方华创优势较为明显长江存储:北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。其 中北方华创共计中标 100 台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标 8 台, 主要为退火设备;成都莱普科技于 2021 年 9 月中标 2 台,为退火设备。华力集成:东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获得 采购。其中北方华创共计中标 4 台,中标产品涵盖退火、合金、氧化炉设备;屹唐股份 (Mattson)中标 2 台,为快速热退火/快速热氧化设备;盛美上海中标 1 台,为低压高温 氧化炉设备。华虹无锡:东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子等。 其中,北方华创中标 11 台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标 4 台, 为快速热退火设备;上海微电子中标 4 台,为背面激光退火设备。总结:氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储中 获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除北方 华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向 IGBT 等应用开 发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产设备中 标总数 136 台,晶圆厂招标设备总数 482 台,由此计算国产化率约 28.2%。5、清洗:国产化率 38%,盛美上海中标设备数量国产最多,仅次于日本 迪恩士长江存储:盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包括芯矽科 技、北方华创、屹唐股份。其中盛美上海共中标 35 台,中标产品主要包括各类型单片式 清洗机;芯矽科技共计中标 5 台,中标产品为零部件清洗机;北方华创共中标 2 台制程挡 控片蚀刻回收清洗机;屹唐股份亦于 2021 年中标 2 台清洗设备。华力集成:盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。其中盛 美上海共计中标 19 台,中标产品涵盖前段、后段工艺的清洗设备;北方华创中标 13 台, 均为部件清洗设备;芯源微中标 3 台,为刷片清洗设备。华虹无锡:迪恩士、盛美上海分列前两位,国产厂商还包括上海稷以科技有限公司。 其中,盛美上海中标 24 台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、 多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样;上海稷以科技有限公 司于 2021 年 9 月首次中标华虹无锡清洗设备 1 台,具体产品为 300mm 薄片等离子背面 清洗机。总结:清洗设备方面,盛美上海在选取的三家晶圆厂中设备中标数量位列第二,仅次 于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、中、后段工艺,除盛美 上海以外,国内至纯科技、北方华创、芯源微、屹唐股份等企业在该领域均有所布局,积 极推进国产化。盛美上海以超/兆声波方法为特色,可搭配二流体清洗,并推出槽式湿法、 刷洗设备,根据公司官方公众号,截至 2021 年 10 月,其湿法设备交付 2000 腔,累计出 货超过 300 台;至纯科技产品包括槽式湿法工作站和单片式湿法设备,其中单片式湿法设 备为旋转喷淋 Spin-Spray 类型,对标 SCREEN、LAM 等企业,根据公司公告及产业调研, 截至 2021 年三季度末,公司湿法设备累计交付超过 100 台,客户已涵盖中芯宁波、中芯 绍兴、中芯天津、华为、燕东微电子、上海集成电路研发中心、力积电等;芯源微产品包 括单片式清洗机及 Scrubber 刷洗设备等,在中芯国际、上海华力、厦门士兰集科等多客 户处通过工艺验证,获得多家 Fab 厂批量重复订单。从三座晶圆厂累计招标情况统计,国 产设备中标总数 114 台,晶圆厂招标设备总数 381 台,由此计算国产化率约 37.8%。当前 国产设备主要在后端制程为主,且部分用于处理控片、挡片,在正片、前端制程应用相对 有限,未来仍存在较大发展空间。6、去胶:国产化率 74%,屹唐股份、盛美上海国产入围长江存储:屹唐股份中标数量位列第一。屹唐股份共计中标 74 台,数量超过韩国 PSK, 中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产厂商。华力集成:屹唐股份中标数量位列第一。屹唐股份共计中标 10 台,中标产品为等离 子去胶设备,涵盖前、中、后段去胶工艺。华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。其中,屹唐股份 中标 21 台设备,均为等离子去胶设备;盛美上海中标 9 台,均为前段光刻胶剥离设备。总结:去胶设备方面,屹唐股份、盛美上海等公司入围,两家设备类型有所区别。其 中,屹唐股份主要产品为各类等离子体干法去胶设备,其收购的 Mattson 在去胶领域具有 长期技术积累,国产化率相对较高,盛美上海产品为湿法光刻胶剥离设备。从三座晶圆厂 累计招标情况统计,国产设备中标总数 114 台,晶圆厂招标设备总数 154 台,由此计算国 产化率约 74.0%。(报告来源:未来智库)7、化学机械抛光:国产化率 23%,华海清科为国内细分龙头长江存储:应用材料、华海清科中标最多。其中华海清科共计中标 34 台,仅次于应 用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅面 化学机械抛光机等。华力集成:应用材料、荏原制作所领先,国内华海清科中标。华海清科共计中标 4 台, 中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片背面氧 化膜化学机械研磨设备。华虹无锡:应用材料、华海清科获采购较多。华海清科共计中标 13 台设备,化学机 械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺环节,应用领域 较为多样;吉姆西半导体科技中标 6 台,为氧化膜化学机械抛光设备。总结:化学机械抛光设备方面,华海清科为国内细分龙头,化学机械抛光设备涵盖铜、 硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情况统 计,国产设备中标总数 57 台,晶圆厂招标设备总数 245 台,由此计算国产化率约 23.3%。 与海外厂商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。8、离子注入:国产化率 3.1%,烁科中科信国产获采购长江存储:应用材料、亚舍立(Axcelis)中标较多。应用材料为离子注入领域全球 龙头,共计中标 43 台,中标产品涵盖高束流、中束流等类型;亚舍立 Axcelis 中标 9 台, 主要为高能离子注入设备。华力集成:应用材料、住友重工、亚舍立等企业领先,国产烁科中科信获得采购。应 用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括高电 流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中科信于 2019 年中标 1 台中束流离子注入机。华虹无锡:住友重工、应用材料获采购最多,国产厂商烁科中科信获得采购。其中, 住友重工、应用材料、亚舍立分别中标 30 台、25 台、6 台离子注入机;烁科中科信于 2020 年中标 1 台,为中电流离子注入设备。总结:离子注入设备方面,烁科中科信在华虹无锡、华力集成均获得中标,中标设备 均为中束流离子注入设备。凯世通半导体亦为国内离子注入机国产化主力厂商,低能大束 流和高能离子注入机陆续交付客户。从三座晶圆厂累计招标情况统计,国产设备中标总数5 台,晶圆厂招标设备总数 161 台,由此计算国产化率约 3.1%,该领域尚存在较大国内 外差距,替代空间广阔。9、涂胶显影:国产化率 1%,芯源微实现国产零突破长江存储:主要采购自东京电子,部分迪恩士、汉民科技。尚未采购国产厂商设备。华力集成:东京电子获采购数量领先,芯源微实现零的突破。其中,国产厂商芯源微 中标 1 台,为防反射层匀胶机设备/BARC Coater。华虹无锡:18 台招标涂胶显影设备全部采购自东京电子,尚未采购国产厂商设备。总结:涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围。芯 源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外,芯源 微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合物涂胶 显影机、背面涂胶显影机、KrF 匀胶显影机、I-line 匀胶显影机等,公司在国内涂胶显影设 备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标总数 1 台, 晶圆厂招标设备总数 100 台,由此计算国产化率约 1.0%。10、光刻:国产化率 1.1%,阿斯麦绝对垄断,上海微实现国产零突破光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。国产 厂商中,上海微电子装备于 2021 年初于长江存储中标一台光刻机。当前在光刻机领域, 后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产设 备中标总数 1 台,晶圆厂招标设备总数 93 台,国产化率约 1.1%,国产化率尚低。总结:测算三座晶圆厂设备国产化率总体在 17%左右,坚定看好设备国产替代趋势国产化率测算:2016-2022 年长江存储、华虹无锡、华力集成累计设备国产化率(按 照设备台数占比,下同)分别为 17.9%、12.9%、18%。经过前文讨论,我们汇总了三座 晶圆厂各类设备的国产化率情况。从各类型设备来看,2016-2022 年累计设备招标中,去 胶、清洗、氧化扩散/热处理、刻蚀、化学机械抛光领域国产化率均可达到 20%以上,而 薄膜沉积、过程控制、离子注入、光刻、涂胶显影设备国产化率尚低。我们发现,国产化 率较高的领域都可以找到相应的国内细分龙头公司,在去胶领域,屹唐股份收购的 Mattson 公司在等离子体去胶领域具有长期成熟技术积累,是去胶领域细分龙头厂商,因此国产化 率最高;清洗领域盛美上海深耕多年,兆声波清洗技术独特,亦占据国内出货领先地位; 氧化扩散/热处理领域,北方华创出货较多,尤其是在长江存储占比较高;刻蚀领域,中微 公司在介质刻蚀深耕多年,北方华创在金属和硅刻蚀长期布局,屹唐股份亦在介质刻蚀具 有成熟技术;化学机械抛光领域,华海清科为国内细分龙头。而国产化率尚低的领域均为 产品类型繁多或者技术壁垒较高领域,尚待时间开拓,如薄膜沉积产品类型众多,当前国 产厂商布局尚且有限,过程控制、离子注入和光刻机技术壁垒均较高,需长期技术积淀, 国内厂商需经历长期发展有望逐步突破,目前拓荆科技、中微公司分工协作分别布局 PECVD、LPCVD 化学气相沉积领域,北方华创布局 PVD 物理气相沉积领域,芯源微在 涂胶显影领域实现零的突破,上海微电子在光刻领域实现零的突破。三座晶圆厂横向对比来看,长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂,这可能是由于长江存储生产存储芯片为 IDM 模式(设计、制造一体),设 备选择自主性相对高于晶圆厂代工厂(代工厂或需考虑设计客户接受情况)。华虹无锡与 华力集成同属于华虹集团,而华虹无锡各类型设备国产化率基本均高于华力集成(个别如 氧化扩散/热处理、离子注入除外,但相差不大),这可能是由于华虹无锡主要制程在 90nm~55nm,属于成熟制程,华力集成主要为 28~14nm,相对于华虹无锡而言属于较先 进制程,成熟制程在设备国产化率方面相对更高。产能扩张+国产替代积极推进,看好未来 1~2 年半导体设备行业发展。展望 2022 年 下半年~2023 年,中芯国际、华虹无锡、华力集成等晶圆代工厂以及长鑫存储、长江存储 等 IDM 厂均有持续产能扩增计划,在当前行业景气、产能紧张背景下,预计半导体设备公 司将持续有基本面业绩支撑。另一方面,美国制裁华为、中芯国际等已经激发国内厂商供应链安全意识,国内晶圆厂有望加快供应链本土化,国产设备厂商接下来 1~2 年有望受益 国产份额的阶跃式提升。(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)精选报告来源:【未来智库】。未来智库 – 官方网站

本文出自快速备案,转载时请注明出处及相应链接。

本文永久链接: https://kuaisubeian.cc/44561.html

kuaisubeian